TI中文支持网
TI专业的中文技术问题搜集分享网站

TI-JESD204-IP: Vivado Critical Warning about xilinxt_2021_07

Part Number:TI-JESD204-IP

I followed the steps mentioned in the user manual: TI204c-IP-Users-Guide, within TI204C-IP-Release-v1.12-LATEST, to create a Vivado project, but Vivado prompts with the following Critical Warning:

[#UNDEF] Cannot decrypt IEEE-1735 envelope: key value doesn't match key name "xilinxt_2021_07" (perhaps the public key was incorrect at encryption time). [D:/Proj/TI_JESD204C/vcu118_64b66b_2/vcu118_64b66b_2.srcs/sources_1/imports/TI204C-IP-Release-v1.12-LATEST/TI-204c-CoreIP/rtl/TI_204c_IP.svp:0] [“D:/Proj/TI_JESD204C/vcu118_64b66b_2/vcu118_64b66b_2.srcs/sources_1/imports/TI204C-IP-Release-v1.12-LATEST/TI-204c-CoreIP/rtl/TI_204c_IP.svp”:]

In this case, the version used for Vivado is 2019.1 and the Xilinx chip is xcvu9p-flga2104-2L-e.

What should I do to fix this?

Kang Hsia:

Hello Mr. Zhang,

We received some feedback from the team:

Zhang Changhai 说:

I followed the steps mentioned in the user manual: TI204c-IP-Users-Guide, within TI204C-IP-Release-v1.12-LATEST, to create a Vivado project, but Vivado prompts with the following Critical Warning:

[#UNDEF] Cannot decrypt IEEE-1735 envelope: key value doesn't match key name "xilinxt_2021_07" (perhaps the public key was incorrect at encryption time). [D:/Proj/TI_JESD204C/vcu118_64b66b_2/vcu118_64b66b_2.srcs/sources_1/imports/TI204C-IP-Release-v1.12-LATEST/TI-204c-CoreIP/rtl/TI_204c_IP.svp:0] [“D:/Proj/TI_JESD204C/vcu118_64b66b_2/vcu118_64b66b_2.srcs/sources_1/imports/TI204C-IP-Release-v1.12-LATEST/TI-204c-CoreIP/rtl/TI_204c_IP.svp”:]

In this case, the version used for Vivado is 2019.1 and the Xilinx chip is xcvu9p-flga2104-2L-e.

1. Can you share the version of Vivado that is being used to create the reference project? Our team can verify that this version of Vivado can decrypt the TI IP core.

Regarding:

"There are 38 clocks between Mark2 and Mark3 in the figure, why is there 36 in the text explanation?"

2. We are looking into the reason of the discrepancy in the image, but we still recommend using the reported value. The IP will report the correct value and this has been verified across many designs.

Thank you!

-Kang

,

Zhang Changhai:

Q1. My Vivado version is 2019.1,and I download the TI204C-IP-Release-v1.11-LATEST. I have fixed this issue with TI204C-IP-Release-v1.11.

Q2. I'm looking forward to the explanation of this problem.

,

Kang Hsia:

Thank you Mr. Zhang,

I will update you once I hear back from the team on Q2.

Thank you for your update on Q1.

赞(0)
未经允许不得转载:TI中文支持网 » TI-JESD204-IP: Vivado Critical Warning about xilinxt_2021_07
分享到: 更多 (0)